IJRE – Volume 3 Issue 2 Paper 2

DYNAMIC OVERDRIVING CURRENT MODE SIGNALING FOR ON-CHIP INTERCONNECTS TO REDUCE POWER DELAY AND AREA

Author’s Name :  Nanicka.J | Niveda .S  | Priyanka.M.G | Nithiya Devi.G

Volume 03 Issue 01  Year 2016  ISSN No:  2349-252X  Page no: 4-9

12

 

 

 

Abstract:

An efficient scheme for low power high-speed communication over long on chip interconnects is Current-mode signaling (CMS) with dynamic overdriving technique. The Existing Scheme of Driver Pre-emphasis technique using Current Mode Signaling scheme with Feedback is implemented. CMS-Fb improves speed and reduces dynamic power consumption. Although it consumes static power, there exists a direct tradeoff between delay and static power. The proposed CMS scheme is fabricated in 180-nm CMOS technology. The CMS – smart bias scheme eliminate the Feedback and employs the corner aware bias and ring oscillator as delay element. CMS-Smart bias reduces the power consumption but increases the delay. CMS-Active bias scheme further reduces the area , power and delay by replacing the delay element and Bias circuit. Dynamic over-driving with CMS – Active bias is adopted for variation analysis which improves the area, power, delay, energy and EDP value over voltage mode signaling scheme. Delay measurement Scheme is an eminent application designed to prove the efficiency of CMS -Active bias circuit using dynamic overdriving technique.

Keywords

Current Mode Signaling, Dynamic overdriving, Active bias, On-chip Global Interconnects, D-latch, Buffer and Voltage Divider.

Reference

  1. Hui Zhang , Jan Rabaey , “Low Swing Interconnect Interface Circuits”UC Berkeley,1997. H.Kaul and D.Sylvester, “Low power on-chip communication based on Transition aware global signaling,” IEEE Trans.Very large scale integ(VLSI) syst.,vol.12,no.5,,pp. 464-476, May 2004.
  2. H.hang, V.George,and J.M.Rabaey, “low swing on-chip signaling techniques, Effectiveness and robustness,” IEEE Trans. Very Large scale integr.(VLSI)syst., vol.8,no.6,pp.264-272,Jun.2000.
  3. J.Sun.Seo, P.Singh, D.Sylester, and D.Blaauw, “Self time regenerators for high-speed and low power interconnect,” in Proc.ACM/IEEE Int.Symp.Quality Electron.Design,2007,pp.621-626.
  4. A.Katoch, H.Veendrick, and E.Seevinck, “High Speed current mode signaling circuits for On-Chip wires,” in proc.IEEE int.symp. circuirs syst.,200,pp.4138-4141.
  5. R.Ho,T.Ono, R.D.hopkins, A.Chow.J.Schauer, F.Y.Liu, and R.Drost, “high speed and low energy capacitively driven on-chip wires,”IEEE J.Solid state Circuits, vol.43,no.1,pp.52-60,Jan.2008.
  6. A.Nalamalpu, S.srinivasan, and W.Burleson, “Boosters for driving long On-Chip interconnects: design issues, interconnect synthesis and comparison with repeaters,”IEEE Trans.Comput –aided design Inter circuits syst., vol.50,no.4,,pp.50-62,Jan.2002.
  7. E.Mensink, D.Shinkel, E.A.M.Kulumperink ,E.Van Tuijil,and B.Nauta, “Power efficient gigabit communication cacitively driven RC-limited on-chip interconnects,”IEEE J.Solid State circuits, Vol.45, no.2,p.447-457,Feb.2010.
  8. M.M.Thabrizi, N.Masoumi, and M.M.Deilami, “high speed current mode signaling for interconnects considering transmission line and cross talk effects,” in Proc.MWCAS,2007,pp.17-20.
  9. D.Shinkel, E.Mensink, E.A.M.Klumperink, E.Van Tuijl, and B.Nauta, “A 3-Gb/s/ch transceiver for 110-mm uninterrupted RC limited global On-Chip interconnects,” IEEE J.Solid State Circuits, Vol.41,no.1, pp.297-306,Jan.2006.
  10. .Nithiya Devi, J.Sathiya Priya, “An Efficient System On-Chip Interconnect using Modified Smart Bias Circuit -2014,”International Journal of computer applications(ICIIECS)-2014.
  11. Priyadharsini.R, Mr.Mahesh Kumar.H “Effective Power Optimization Of CMS Scheme International Journal of Advanced Research in Computer and Communication Engineering” Vol.3,Issue 2,February 2014.
  12. Prabakaran J and Ravi V, “A Low Power and High Performance On-Chip Interconnect using Current Mode Signaling Scheme”International Journal of Emerging Trends in Electrical and Electronics(IJETEE)”,Vol-6,August 2013,ISSN:2320-9569.
  13. S.Karthika Swathini,P.N.Palanisamy, “Efficient on-Chip Interconnects using CMS scheme with Variation Tolerant”,IJAICT Vol 1,October 2014,pp 512-516
  14. M.Dave, M.Shojaei,and D.Sharma,”A Variation Tolerant Current Mode Signaling for on chip interconnects”,IEEE Transaction on Very Large Scale Integration Systems,Vol.21 no. 2,February 2013.
  15. M.Dave, M.Shojaei,and D.Sharma, “energy efficient current mode singnalling scheme for o chip interconnects,”presented at the Asian Solid-Stt conf.,Beijing,china,2010.
  16. Richard, T.Chang,Nirajan Talwalkar ,C.Patrick Yue and S.Simon Wong “Near Speed-of-Light Signaling Over On-Chip Electrical Interconnects”.IEEE Journal of Solid-State Circuits Vol.38,No.5,May 2003.
  17. Richard, T.Chang,Nirajan Talwalkar ,C.Patrick Yue and S.Simon Wong “Near Speed-of-Light Signaling Over On-Chip Electrical Interconnects”.IEEE Journal of Solid-State Circuits Vol.38,No.5,May 2003.
  18. A.Narasiman, “A low power asymmetric source driver level converter based current mode signaling scheme for global interconnects,” in Proc.IEEE Int. conf.VLSI Design,2006, pp.491-494.
  19. Alongti Longchar,N. Prameela .Kumare, “Advanced low power interconnect signaling schemes” , proc. of Int. Conf on Advances in Recent Technologies in Communication and Computing 2011.
  20. Anthony J. Walton, William Gammie, Martin Fallon, J. Stevenson, and Robert , “An Interconnect Scheme for Reducing the Number of Contact Pads on Process Control Chips”, IEEE Transactions on Semiconductor Manufacturing Vol. 4, No. 3, Aug 1991.
  21. D Tran ,Kyung Ki Kim ,Yong-Bin Kim , “Power Estimation in Digital CMOS VLSI Chips”.
  22. D.Liu and Christer Svensson , “Power Consumption Estimation in CMOS VLSI Chips” , in IEEE Journal of Solid-State Circuits ,Vol 29 ,No 6 1994,pp 663-670.
  23. Giacomo Paci, Davide Bertozzi, Luca Benini “Effectiveness of Adaptive Supply Voltage and Body Bias as Post-Silicon Variability Compensation Techniques for Full-Swing and Low-Swing On-chip Communication Channels” ENDIF,University of Ferrara.
  24. Hsien-Chie Cheng, Yu-Min Tsai, Su-Tsai Lu, and Wen-Hwa Chen, “Interconnect Reliability Characterization of a High-Density 3-D Chip-on-Chip Interconnect Technology” IEEE Trans. On Components,packaging and manufacturing technology Vol. 3, No. 12, Dec 2013.
  25. Jae-sun Seo,Prashant Singh, Dennis Sylvester and Dravid Blaauw “ Self-timed Regenerators for High-speed and Low –power interconnect,” Department of EECS ,MI 48109 .
  26. John D Owens,William J Dally,RonHo,D.N.Jay,S.W.Keckler,Jayasimha,Li-Shiuan Peh , “Research Challenges for on-chip interconnection networks” , IEEE Micro ,no.5,pp 96-108.
  27. L.Zhang, J.Wilson, R.Bashirullah, L.Lue, J.Xu,and P.Franzon, “A Gb/s on chip bus with driver pre emphasis technique for on chip buses,”in proc.custom integr. Circuit conf.2006,pp.265-268.
  28. Mandeep Bamal, Scott List,Michele Stucchi, Anne S. Verhulst,Gerald Beyer and Karen Maex, Marleen Van Hove, Rudi Cartuyvels, “Performance Comparison of Interconnect Technology and Architecture Options for Deep Submicron Technology Nodes” IEEE 2006 pp.202-204.
  29. N.Tzartzanis and W.W.Walker, “Differencial current mode sensing for efficient on-chip global signaling,”IEEE J>Solid state circuits ,vol.40,no.11,pp.2141-2147,Nov.2005.