IJRE – Volume 3 Issue 2 Paper 3

DESIGN OF LOW POWER WITH EFFICIENT RTPG FOR BIST WITH REDUCING SWITCHING ACTIVITY

Author’s Name : S.Deepika | P.Krithiga | M.Manjula | V.Pavithra | V.Naganandhini

Volume 03 Issue 01  Year 2016  ISSN No:  2349-252X  Page no: 10-13

12

 

 

 

Abstract:

A low power (LP) programmable generator proficient of producing random test patterns with desired toggling levels compared with the built-in-self test (BIST) based linear feedback shift register. The device to produce binary sequences with preselected toggling (PRESTO) activity is allowed by test coverage improvement is embraced of a linear finite state machine (RTPG-random test pattern generator). An LP test compression method using design for testability based on scan and automatic test pattern generation were advanced to test all gate and path in a strategy. This technique creating high-quality vector for manufacturing test ,with good test coverage. This method gives a high quality test by achieving three modes such as less repetition mode, test vector coverage, switching activity. Experimental results obtained for industrial design illustrate the possibility of the proposed test schemes and are reported herein.

Keywords

Built-in self-test (BIST) , low-power(LP) test, Random test pattern generators(RTPGs),test data volume compression.

References:

  1. TEST GENERATOR WITH PRESELECTED TOGGLING FOR 1LOW POWER BIST,J.Rajski,2012,page.no:1-16.
  2. LOW POWER DECOMPRESSOR AND PRPG WITH CONSTANT VALUE BROADCAST,M.Filipek,2011,pg.no:84-89.
  3. POWER AWARE TESTING AND TEST STRATERGIES FOR LOW POWER DEVICE,P.Girard,2010,pg.no:101-108.
  4. RESTRICTENCODING FOR MIXED MODE BIST,A.W.Hakmi,2009,pg.no:179-184.
  5. REDUCING POWER SUPPLY NOISE IN LINEAR DECOMPRESSOR BASED TEST DATA COMPRESSION ENVIRONMENT FOR AT SPEED SCAN TESTIN,M.F.Wu,J.L.Huang,X.Wen,and K.Miyase,2008,pg n0:1-10.
  6. BIT-SWAPPING LFSR FOR LOW POWER BIST,A.S.Abu-Issa and S.F.Quigley,2008,pg no:401-402.
  7. BIST POWER REDUCTION USING SCAN-CHAIN DISABLE IN THE CELL PROCESSOR ,C.Zoellin,H.Wunderlich,N.Maeding, and J.Leenstra,2006,pg no:1-8.
  8. LT-RTPG: A NEW TEST-PER-SCAN BIST TPG FOR LOW SWITCHING ACTIVITY.S.Wang and S.K.Gupta,2006,pg no:1565-1574.
  9. LOW POWER SCAN DESIGN USING FIRST-LEVEL SUPPLY GATING, S. Bhunia , H. Mahmoodi , D . Ghosh , S .Mukhopadhyay and K.Roy,2005,pg no: 384-39.
  10. EFFICIENT PATTERN MAPPING FOR DETERMINISTIC LOGIC BIST, V.Gherman, H.Wunderlich, H.Vranken, F.Hapke, M. Wittke,and M.Garbers,2004,pg no:48-56.