IJRE – Volume 4 Issue 2 Paper 5

DESIGN FOR LOW SUPPLY VOLTAGE IN SRAM OF LEAKAGE COMPENSATION

Author’s Name :  Mohan R | Vinitha K V

Volume 04 Issue 02  Year 2017  ISSN No:  2349-252X  Page no: 20-24

12

 

 

 

Abstract:

A leakage current compensation design for nano scale SRAMs is proposed in this paper. The proposed SRAMs cell is implemented with transmission gate.  The proposed compensation design is composed of a leakage current sensor and compensation circuit. The leakage current sensor, which generates a warning signal if the leakage is over a predefined threshold, and a compensation circuit following the sensor, which will be activated to speed up the read operation. It is used to enhance the write ability of the SRAM cell using transmission gates and further modification is done in the write assist circuit to reduce the power consumption and delay. The proposed circuit gives better result. The proposed Static Random-Access Memory is implemented using the TSMC 40-nm (CMOS) Complementary Metal Oxide Semiconductor logic technology. The energy per access is measured to be 0.9411 pJ given a 600-mV power supply and a 54-MHz system clock rate. The reduce power delay 2.58 ns and 27.86% of the average power dissipation reduced.

Key Words:

Compensation circuit, disturb free, leakage current sensor, single-ended Static Random-Access Memory cell, SRAM

References:

  1. Chua-Chin Wang,Deng-Shain Wang,China-Hsiang Liao,sih-Yu Chen,(2016),”A Leakage compensation Design for Low Supply Voltage SRAM,” IEEE Senior Member.
  2. Agawa.K, Hara.H, Takayanagi.T, and Kuroda.T,(2001) “A bit line leakage compensation scheme for low-voltage SRAMs,” IEEE Journal Solid-State Circuits. vol. 36, no. 5, pp. 726–734, May 2001
  3. Chen.J, Clark. L.T, and Cao.Y, (2006)“Maximum Ultra-low voltage circuit design in the presence of variations,” IEEE Circuits Devices Mag. vol. 21, no. 6, pp. 12–20, Jan./Feb. 2006.
  4. Chen.S and Wang.C-C., (2012)“Single-ended disturb-free 5T loadless SRAM cell using 90 nm CMOS process,” inProc. IEEE Int. Conf.IC Design Technol. May/Jun. 2012, pp. 1–4
  5. Frustaci.F, Corsonello.P, Perri.S, and Cocorullo.G,(2006) “Techniques for leakage energy reduction in deep submicrometer cache memories,”IEEE Trans. Very Large Scale Integr. Vol.14,no.1,p,1238-1249,nov.2006
  6. Goudarzi.M and Ishihara.T,(2010)“SRAM leakage reduction by row/columnredundancy under random within-die delay variation,” IEEE Trans.Very Large Scale Integr. vol. 18, no. 12, pp. 1660–1671, dec.2010.
  7. Moradi.F, Wisland.D.T, Aunet.S, Mahmoodi.H, and Cao.T.V,(2008)“65 nm sub-threshold 11T-SRAM for ultra-low voltage applications,”in Proc. IEEE Int. Syst. ., Sep. 2008, pp. 113–118.